欢迎光临环球直播tv软件最新版下载!

企业新闻| 关于我们| 画册简介| 联系我们| 立即咨询

全国24小时服务热线:

4008-327-669
网站导航
产品中心
当前位置: 首页 > 产品中心

国产进入新一轮研发潮:电子束曝光机市场与企业盘点

来源:产品中心    发布时间:2024-02-03 15:55:41

我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术探讨研究的单

详细介绍

国产进入新一轮研发潮:电子束曝光机市场与企业盘点

来源:产品中心    发布时间:2024-02-03 15:55:41

  我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术探讨研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。

  电子束曝光(EBL,也称之为电子束光刻)始于上世纪60年代,是在电子显微镜的基础上发展起来的用于微电路研究和制造的曝光技术,是半导体微电子制造及纳米科技的关键设备、基础设备。电子束曝光是由高能量电子束和光刻胶相互作用,使胶由长(短)链变成断(长)链,实现曝光,相比于光刻机具有更高的分辨率,大多数都用在制作光刻掩模版、硅片直写和纳米科学技术研究。

  目前,活跃在科研和产业界的电子束光刻设备主要是高斯束、变形束和多束电子束,其中高斯束设备相对门槛较低,能够灵活曝光任意图形,被大范围的应用于基础科学研究中,而后两者则主要服务于工业界的掩模制备中。电子束光刻的主要优点是可以绘制低于10nm分辨率的定制图案(直接写入)。这种形式的无掩模光刻技术具有高分辨率和低产量的特点,将其用途限制在光掩模制造,半导体器件的小批量生产以及研究和开发中。

  我国电子束曝光技术是六十年代后期开始发展起来的,到七十年代,近十家从事电子束曝光技术探讨研究的单位,在北京、上海、南京分别以大会战的方式组织了较强力量的工厂、研究所和高等院校研制。当时由于国内缺乏基础,而电子束曝光本身又是一种多学科的综合性技术,几年之后,许多单位因任务改变而结束了此项工作。在2000年后电子束光刻设备研发热度逐渐降低甚至一度搁置。

  在《瓦森纳协定》禁止向中国提供高性能电子束光刻设备后,国内电子束光刻设备研发才重新被提起。在此之前,国内从事和引导电子束光刻设备研发的单位主要有中国科学院电工研究所、中国电子科技集团有限公司第四十八研究所、哈尔滨工业大学和山东大学等。

  目前性能最优的国产化电子束光刻设备包括中国电子科技集团有限公司第四十八研究所在2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程;中国科学院电工研究所2000年完成的DY-7 0.1μm电子束曝光系统可加工80 nm的间隙,在2005年交付的基于扫描电镜改装的新型纳米级电子束曝光系统,其系统分辨率可达30 nm,束斑直径6 nm。国内电子束光刻研究主要类型为高斯束,上述提及的设备均为高斯束类型,而在变形束方面主要有电工所DJ-2 μm级可变矩形电子束曝光机的研究成果,可实现最小1 μm的线 μm区间内可调。而在多束方面在过去并无相关研究,仅有电工所开展了多束的前身技术——投影电子束曝光的研究,设备代号为EPLDI。在中国科学院电工研究所和中国电子科技集团有限公司第四十八研究所的牵头下,研发过程中将整机拆分为多个关键零部件和技术进行阶段性攻关,包括精密工件台、真空系统、图形发生器、偏转和束闸等。国内研发设备的加速电压停留在30 kV以下,扫描速度普遍不超过10 MHz,相应的拼接套刻精度均在亚微米量级,而电子束束斑在整机自主化研发设备中由于热发射钨电子枪和LaB6的限制停留在几十纳米量级,整体设备性能与国外顶尖设备有较大的差距。

  根据QYResearch研究团队调研统计,2022年全球电子束光刻系统(EBL)市场销售额达到了13亿元,预计2029年将达到22亿元,年复合增长率(CAGR)为6.9%(2023-2029)。

  电子束曝光(electron beam lithography)指使用电子束在表面上制造图样的工艺,是光刻技术的延伸应用。电子束光刻系统(EBL)即用于实现电子束曝光的系统。

  全球电子束光刻系统(Electron Beam Lithography System (EBL))的主要参与者包括Raith、Vistec、JEOL、Elionix和Crestec。全球前三大制造商的份额超过70%。日本是最大的市场,占有率约为48%,其次是欧洲和北美,占有率分别约为34%和12%。就产品而言,高斯光束EBL系统是最大的细分市场,占有率超过70%。在应用方面,应用最多的是工业领域,其次是学术领域。

  Raith是纳米制造、电子束光刻、FIB SEM纳米制造、纳米工程和逆向工程应用的先进精密技术制造商。客户包括参与纳米技术探讨研究和材料科学所有的领域的大学和其他组织,以及将纳米技术用于特定产品应用或生产复合半导体的工业和中型企业。Raith成立于1980年,总部在德国多特蒙德,拥有超过250名员工。公司通过在荷兰、美国和亚洲的子公司,以及广泛的合作伙伴和服务网络,与全球重要市场的客户密切合作。Raith主要有五款EBL产品,EBPG Plus、Voyager、RAITH150 Two、eLINE Plus和PIONEER Two。EBPG Plus是一种超高性能电子束光刻系统。100kv写入模式和5 nm以下的高分辨率光刻,涵盖了各种纳米制造设备中直接写入纳米光刻、工业研发和批量生产的广泛前沿应用。新系统集稳定性,保真度和精度于一体,确保最佳的高分辨率光刻结果的所有性能参数之间的完美交互。

  Raith VOYAGER 光刻系统使用场发射电子源,具有可变的 10-50 keV 加速电位,50 兆赫兹偏转系统具有实时动态校正和单级静电偏转功能,可在小至 8 nm 的光刻胶中定义单线图案。激光控制平台能够加载1厘米见方的化合物半导体芯片,最大直径为200毫米(8英寸)的硅衬底。Raith150-two是能应用于晶片级电子曝光同时拥有极高分辨率的电子束光刻设备。并是纳米技术研究中心理想的电子束直写工具。并可设定为自动光刻流程并具有mix&match曝光功能。Raith150-two应用在半导体工业的新器件生产和新流程工艺中。作为专业的光刻系统,Raith150-two包括了所有例如高度感应和晶片高度测量等相应功能。强大的晶片自动化曝光管理功能能使所有必要的校准和曝光方案互相配合

  广泛使用的eLINE Plus系统是大学和研究中心寻求通过单一多功能电子束光刻(EBL)系统访问纳米制造应用宽带的最佳系统。eLINE Plus的先进光刻基础设施支持超高分辨率电子束光刻和大面积纳米加工。此外,eLINE Plus的多功能性结合了电子束光刻,纳米工程,超高分辨率和大面积SEM成像的世界,包括用于计量和过程控制的专用功能。

  PIONEER TWO 集成了电子束曝光及成像分析双功能,是高校和科研人员的理想选择。从理念上,PIONEER Two是一个全新的独特的设备,真正意义上实现了电子束曝光和成像的EBL/SEM结合。PIONEER Two将专业电子束曝光设备和电子成像系统所有的功能融合成一套独立的成套系统。多功能性、稳定性、用户友好性操作,使PIONEER Two系统适合于不仅追求纳米结构的制作及再观察功能,且需要材料及生命科学领域中对化学成分及结构可以进行分析的所有用户。

  NanoBeam是一家英国公司,成立于2002年,主要生产高性能和超高的性价比的电子束光刻工具。据新闻媒体报道,2016年,徐州博康收购了NBL落户徐州经济技术开发区,并将在园区内主要生产电子束光刻机、扫描电镜、高压电源以及电子束枪、无磁电机等高科技产品。NBL的电子束光刻机线nm的工艺,相关这类的产品已销往因英、美、德、法、瑞典、韩国等国家,中国的中科院微电子所、13所、55所、北京大学等单位已引进15台。

  Nanobeam 推出的NB5型电子束光刻机依靠特有双偏转系统和共轭关闸,实现在8英寸晶圆(兼容更小尺寸,任意形状样品)的样品单次曝光制备5nm图形结构。电子束加速电压20-100kV连续可调,束流0.2-120nA,写场拼接精度≤10nm,套刻精度≤10nm。3nm束斑直径时,束流可达到2nA。

  日本电子株式会社(JEOL Ltd., 董事长:栗原 权右卫门) 是世界顶级科学仪器制造商,成立于1949 年,总部设在日本东京都昭岛市武藏野3丁目1番2号,其事业范围主要有电子光学仪器、分析仪器、测试检查仪器、半导体设备、工业设施、医疗仪器等制造、销售和研发。JEOL集团的业务包括三个部分:科学/计量仪器、工业设施以及医疗器械。

  JEOL的电子束曝光机产品主要有电子束光刻系统(可变矩形束电子束光刻)、电子束光刻系统(圆形电子束光刻)等 。1967年,JEOL完成JBX-2A 电子束光刻系统;1998年,JBX-9000MV 电子束光刻系统完成;2002年,JBX-3030 系列电子束光刻系统完成;2017年,与IMS共同发布世界首台量产化电子束光刻机并投入市场。

  JBX-8100FS圆形电子束光刻系统,具备高分辨率和高速两种刻写模式,非常适用于超微细加工以及批量生产。该设备减少了刻写过程中的无谓耗时,并将扫描频率提升至业界高水准的125MHz (以往机型的1.25~2.5倍),使其具备更高的生产能力。

  JBX-9500FS是一款100kV圆形束电子束光刻系统,兼具高水平的产出量和定位精度,最大能容纳300mmφ的晶圆片和6英寸的掩模版,适合纳米压印、光子器件、通信设施等多个领域的研发及生产。

  JBX-6300FS的电子光学系统在100kV的加速电压下能自动调整直径为(计算值)2.1nm的电子束,简便地描画出线nm)的图形。 此外,该光刻系统还实现了9nm以下的场拼接精度和套刻精度,性能比优越。利用最细电子束束斑(实测值直径≦2.9nm)可以描画8nm以下(实际可达5nm)极为精细的图形。

  JBX-3200MV是用于制作28nm~22/20nm节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。是基于加速电压50 kV的可变矩形电子束和步进重复式的光刻系统。利用步进重复式曝光的优点,结合曝光剂量调整功能及重叠曝光等功能,能支持下一代掩模版/中间掩模版(mask/reticle)图形制作所需要的多种补偿。JBX-3050MV 是用于制作45nm~32nm 节点的掩模版/中间掩模版(mask/reticle)的可变矩形电子束光刻系统。

  日立(HITACHI)是来自日本的全球500强综合跨国集团,1979年便在北京成立了第一家日资企业的事务所。日立在中国已发展成为拥有约150家公司的企业集团。

  为更好地解决邻近效应和 高加速压电子对器件的损伤问题,低能微阵列平行电子束直写系统将有希望成为纳米光刻的最优选择。开展这方面研发有代表性的是美国 ETEC 公司和日本的日立公司。

  日立推出的50 kV 电子束 (EB) 写入系统HL-800M,为 0.25 - 0.18 微米设计规则掩模制造而开发,并得到了广泛的应用。

  HL-800M1999年12月,日立公司宣布推出HL-900M系列电子束光掩模写入系统,该系统是为使用户得到满足对高精度掩模的需求而开发的。该系统基于HL-800M系列,引入了新的电子光学、低失真级和并行处理功能,用于处理大量数据,以实现更高的精度和更高的吞吐量。书写系统并不是实现高级掩模的唯一因素;制造工艺也很重要,并且在掩模制造工艺中使用化学放大抗蚀剂方面正在取得进展。HL-900M系列以150纳米或更高分辨率的高精度标线片制造为目标。该系统基于HL-800M,为了更好的提高精度,引入了(1)高精度电子光学,(2)低失线)高精度温度控制管理系统,以及(4)用于处理大体积图案数据的并行处理功能。

  ELIONIX成立于1975年,是一家从事纳米级加工与检测的中小企业。ELIONIX拥有资本金2亿7000万日元,目前共有员工100名。成立40余年,ELIONIX专注于电子束光刻、电子束硬盘刻蚀、超微材料分析等技术的研发,获奖无数,并成为以上技术市场中的隐形冠军。2004年以后,ELIONIX积极进军海外市场,目前客户遍布于美国,欧洲,中国等知名大学(哈佛、麻省理工学院,清华、北大等)和公共研究所。ELIONIX的电子光刻装置能够稳定且精准地控制电子束,同时将震动和磁场等外部干扰因素降到最低,电子束最细可达5纳米。ELIONIX的电子光刻装置拥有着世界顶级水平,目前占有50%以上的世界市场占有率。ELS-F125是Elionix推出的世界上首台加速电压达125KV的电子束曝光系统,其可加工线nm的精细图形。

  ELIONIX的电子束光刻ELS系列可应用于光集成电路、SAW元器件以及其他各种传感器上。除了电子束,ELIONIX还有离子束光刻装置。2023年,ELIONIX发布了电子束光刻系统“ELS-HAYATE”。这是最新型号,具有业界最快的 400MHz 扫描频率和业界最大的 5mm 视场尺寸。

  Advantest(ADVANTEST CORPORATION)是一家日本半导体设备公司,专门提供广泛的半导体设备测试解决方案。该企业成立于1954年,现在已经成为半导体行业的领先企业之一。

  爱德万测试(ADVANTEST)的F7000 电子束光刻系统具有高通量和卓越的分辨率,并能够在1X-nm技术节点的晶圆上创建非常精确和平滑的纳米图案。其字符投影、直接写入技术使其很适合作为研发和原型设计的设计工具,以及生产小批量多类型设备的 LSI 生产线 支持很多材料、尺寸和形状的基板,包括纳米压印模板和晶圆,并针对各种应用进行了优化,例如高级 LSIs、光子学、MEMS 和其他纳米工艺。此外,用户还可以再一次进行选择最适合其需求的配置,无论是独立配置还是在线 能够支持从研发到批量生产的各种应用。

  IMS成立于1985年,位于奥地利维也纳,在2009年获得了英特尔的投资,并在2015年最终被英特尔收购。自从被英特尔收购以后,IMS在 2016 年发布了第一款商用多束掩模写入器MBMW-101,该产品比 EUV光刻工具精度更高,但速度很慢,这是它们仅用来制造掩模版的一个重要原因。

  IMS Nanofabrication是NuFlare(东芝)的竞争对手,但东芝的工具不太精确,而且速度较慢。此外,NuFlare的多束掩模写入器在IMS Nanofabrication研发多年后才开始步入市场。超过90%的生产EUV掩模是使用IMS Nanofabrication 的多光束掩模写入器制造的。假如没有IMS Nanofabrication的掩模写入器,所有EUV工艺技术都将陷入停顿。EUV工艺技术被用于7nm以来的所有台积电、英特尔的工艺节点。

  2023年,英特尔公司宣布出售其旗下子公司IMS Nanofabrication 20%的股权,交易金额为8.6亿美元。此次交易将使英特尔公司减少对该公司的控制权,但仍将继续与IMS Nanofabrication保持合作伙伴关系。台积电在9月12日的临时董事会上宣布,拟不超4.328亿美元收购英特尔手中IMS Nanofabrication约10%股权。

  完全开发的多束掩模写入器(MBMW)为28至5nm的掩模技术节点提供精度和极高的生产率。2014年2月,世界上第一台用于6英寸光掩模的多束掩模写入器 MBMW Alpha工具问世。2016年,MBMW的数据速率提高了10倍,达到120 Gbit/s。截至2016 年,IMS 一直为掩模行业提供MBMW-101掩模写入器生产工具,用于 7 纳米技术节点。

  株式会社CRESTEC于1995年在东京成立以来一直专注于EBL技术。作为世界上为数不多的EBL设备专业制造商之一,在全球范围内EBL光刻机的销售实绩已超越100台。其制造的电子束光刻机以其独特的专业方面技术,超高的电子束稳定性,电子束定位精度以及拼接套刻精度赢得了世界上著名科研机构以及半导体公司的青睐。其中 CABL 系列更是世界上仅有的产品之一。通过日本丰港株式会社在东亚及北美地区国家开展业务,实现产品知名度提升也会用户解决了实际需求。

  CRESTEC CABL 系列采用专业的恒温控制管理系统,使得整个主系统的温度保持恒定,再加上主系统内部精密传感装置,使得电子束电流稳定性,电子束定位稳定性,电子束电流分布均一性都得到了极大的提高,其性能指标远高于其它厂家的同种类型的产品,在长达5小时的时间内,电子束电流和电子束定位很稳定,电子束电流分布也非常均一。由于EBL刻写精度很高,因此写满整个 Wafer 需要比较长的时间,因此电子束电流,电子束定位, 电子束电流分布均一性在长时间内的稳定性就显得很重要,这对大范围内的图形制备最重要。CRESTEC CABL 系列采用其独有的技术使其具有极高的电子束稳定性以及电子束定位精度,在大范围内能轻松实现图形的高精度拼接和套刻。

  Vistec Electron Beam集团是设计、生产电子束光刻系统的国际顶级企业,为前沿电子束光刻领域提供尖端技术解决方案。Vistec集团在德国和美国拥有生产基地,在美国、欧洲、中国、日本、台湾和韩国设有技术服务中心。集团包括两部分,德国耶拿的Vistec电子束有限公司主要生产成形电子束光刻系统。美国纽约密执安的Vistec光刻公司主要生产高斯圆形束电子束光刻系统。

  Vistec的光刻系统是以可变形状光束(VSB)原理为主,其中使用强度均匀分布的可变形状和尺寸的电子束在基材上光刻光阻图案(也称为曝光或写入)。此外可使用更复杂的电子束形状即客制固定形状进行曝光,特别是使用这一些技术能加快电子束的写入速度。基于可变异形光束(VSB)的原理,这些系统可用在所有直接结构化,例如在硅和化合物半导体晶圆上直接生成结构,用于光掩模的生产以及集成光学和光子学的应用。可变形状光束光刻系统大多数都用在应用研究、掩模和玻璃基板市场以及半导体行业。

  Vistec的电子束光刻系统在半导体制造中被大范围的应用,用于芯片的制备和加工,包括先进的逻辑芯片、存储芯片、传感器芯片等。目前主要型号包括VISTEC SB3050-2和SB254。Vistec SB3050-2 是一款基于可变形状光束的高分辨率电子束光刻系统,可实现 300 mm 晶圆和 9 英寸掩模的完全曝光。Vistec SB254是一款通用的 VSB 电子束光刻系统,可完全曝光最大200 mm晶圆和 7”掩模。

  NuFlare(中文:株式会社紐富来科技)在2002年8月成立,是从东芝机械剥离出来的企业,2018年, 他们的销售额为587亿日元,员工数为626人。NuFlare位于日本的神奈川线,主要的产品是半导体生产设备。其中掩膜光刻设备(40-45亿日元/台)占销售额的90%。

  1976年12月,以电子束掩膜光刻设备为中心的半导体制造设备业务的技术从株式会社东芝移交给东芝机械株式会社。1984年6月,公司与株式会社东芝综合研究所联合完成Variable Shaped Beam(可变形电子光束)型首台机——电子束掩膜光刻设备“EBM-130V”。1998年,通过与株式会社东芝的联合项目,开发Variable Shaped Beam(可变形电子光束)型电子束掩膜光刻设备EBM-3000,并进行产品化,成为了首台商用机,对应电路线nm。开发并投产能够应对90nm制程的电子束掩膜光刻设备EBM-4000,并进行产品化(至此为东芝机械株式会社半导体设备事业部)。2002年,株式会社紐富来科技全面继承东芝机械株式会社半导体设备事业部的业务,并开始开展业务。2004年,NuFlare开发应对电路线年,开发并投产EBM-7000(应对32nmhp制程)。2011年,开发并投产EBM-8000(应对14nmTN/22nmhp制程)。2013年,开发并投产EBM-9000(应对10nmTN制程)。2019年,开发并投产EBM-9500PLUS(应对TN5nm/7nm+制程),并开发EBM-8000P(应对14/16nm、22-45nmhp制程)。2022年,开发并投产MBM™-2000(应对3nmTN制程)。

  NuFlare主要是由东芝机械与东芝合资成立的半导体先进制程设备,主要产品线是光罩微显影及缺陷检验测试。Nuflare原本是于1997年作为生产和销售印刷设备、造纸设备的东芝机械冲压工程设备公司成立,2002年从东芝机械继承了半导体生产设备业务,并开始了事业。与东芝集团的资本关系始于2002年东芝收购Nuflare的普通股份,后来慢慢提高出资比例,到2012年成为东芝的关联子公司。早前,东芝宣布要将NuFlare全盘拿下,但却半路杀出了个程咬金HOYA。HOYA在半导体制程中所使用的光罩基板拥有 7 成以上市占,另外该公司也有提供光罩解决方案。若HOYA能取得NuFlare Technology的经营权,将为该公司带来强大助力。因此自 2017 年之后,HOYA 就曾经多次向NuFlare Technology敲门,希望获得合作机会。为此在东芝于2019 年 11 月 13 日时宣布,要以每股 1.19 万日圆的价格来公开收购子公司 NuFlare Technology 的股票,但HOYA 在股票收购价格的设定上,硬是比东芝所开出的条件高出了 1 千日圆。这就给东芝的收购带来阻碍。在经过了几个月的拉锯战之后,东芝终于将这家制造企业收归囊中。

  Multibeam Corporation总部在加利福尼亚州圣克拉拉,是领先的Multicolumn电子束光刻技术(MEBL)开发商。Multibeam开发了微型全静电柱,用于电子束光刻。电子束柱阵列同时并行工作,能大大的提升晶圆加工速度。

  Multibeam在紧凑的模块中以阵列的形式排列其微型柱。阵列中的每一个小列产生一束电子束,控制其形状和轨迹,并将其聚焦到晶圆上以写入电路图案。阵列中的所有列都独立并行写入,以在生产环境中实现前所未有的电子束写入速度。

  MEBL的快速,可扩展的直写是由一个专有的数据准备系统。由于MEBL是无掩模的,DPS将行业标准GDSII或Oasis格式的数据库(其中存储了每层和所有层的IC布局数据)连接到所有MEBL列控制器。每一个MEBL列控制器分别指导其电子束在晶圆上书写图案,所有这些都是一起进行的。每个模块包括多列阵列、精密晶圆台和高精度反馈控制,这些高精度反馈控制与高精度光刻所需的其他传感器和子系统无缝集成。小型MEBL设备模组占地面积(约2英尺×2.5英尺)约为等离子蚀刻设备模组的大小,使其与商用晶圆处理大型机台兼容,并简化了多个模组的集。

  自上世纪八十年代成立以来,美国JC Nabity Lithography Systems公司始终致力于基于商品SEM、STEM或FIB的电子束光刻装置的研制,其研发的纳米图形发生器系统(Nanometer Pattern Generation System纳米图形发生系统,简称NPGS,又称电子束微影系统)技术在全球同类系统中属于翘楚之作,世界各地慢慢的变多的用户包括大学、科研机构及政府实验室在使用NPGS进行EBL研究工作.

  为满足纳米级电子束曝光要求,JC Nabity出品的NPGS系统设计了一个纳米图形发生器和数模转换电路,并采用电脑控制。电脑通过图形发生器和数模转换电路驱动SEM等仪器的扫描线圈,从而使电子束偏转并控制束闸的开关。通过NPGS可以对标准样片进行图像采集及扫描场的校正。配合精密定位的工件台,还能轻松实现曝光场的拼接和套刻。利用配套软件也可以新建或导入多种通用格式的曝光图形。

  NPGS技术以电子显微镜为基础,提供了一个功能强大且操作简单便捷的电子束曝光系统。事实上,NPGS能应用到任何SEM, STEM或FIB以实现电子束光刻技术作为基础研究及技术开发。市场上还无另外的扫描电镜电子束曝光系统能像NPGS一样提供既快速且高精度的电子束光刻技术,并且使用成本有了很大程度的降低。Mapper

  2019年1月28日,荷兰光刻机制造商ASML官方宣布,收购其竞争对手荷兰代尔夫特的光刻机制造商Mapper的知识产权资产。同时,ASML的官方声明中还写到,将为Mapper在研发和产品装配方面的高技能员工提供合适的职位。

  作为ASML的竞争对手,Mapper在2018年12月份被证实正式公开宣布破产,企业具有270名员工和众多电子束光刻机相关的IP。Mapper曾经认为自身找到了半导体制造业的痛点,无奈研发能力跟不上,最终错过了好时机。

  Mapper为降低芯片制造商在掩模上的高昂费用,以及让光刻突破光波长的限制,选择使用电子束替代光源。电子束具备极高的分辨率、较大的焦深与灵活性。Mapper设备利用电子束书写而不再需要掩模,让芯片成本有望实现显而易见地下降。但是,电子束也有自身的缺陷,就是速度比光源光刻要慢很多,Mapper通过大量增加电子束的数量来解决这一个难题。但随着ASML在EUV光刻技术上的成功,以及Mapper电子束光刻的研发遇到了困境,ASML拿到了高端光刻机市场的绝大部分份额。Mapper一蹶不振以至于破产被收购资产。

  20世纪70年代,单点高斯束电子束光刻系统开始逐渐替代缓慢的光机械图形发生器,成为半导体工业掩模制备的首选技术。同一时期,IBM公司开创了形状束的概念,后续进一步提出并实现了目前大范围的应用于产业界的变形束电子束光刻技术,使得电子束光刻的加工效率得到极大的提高。但是由于电子之间的库伦相互作用使得电子束束斑模糊,限制了电子束束流和加工效率的进一步提升。因此为减少库伦相互作用,后续20世纪90年代IBM与尼康合作提出了基于掩模的多束平行电子束投影曝光方案:PREVAIL(具有可变轴浸没透镜的电子束缩小成像技术)。

  该技术由IBM的Hans Pfeiffer领导的电子束研究团队最早研究开发,与尼康的合作旨在用这项技术研制高分辨率与高生产率统一的电子束步进机。在PREVAIL样机上,电子轰击钽单晶形成电子束,在中间掩模上形成1mm

  子场,经电子透镜产生4∶1缩小图像;在片子上形成250μm2图形,电子束经曲线可变轴电子透镜(CVAL)在掩模平面上可偏移±10mm,在片子上则为±2.5mm,而掩模和片子同时连续移动,形成整个电路图形的曝光。在PREVAIL样机上用75 KV加速电压,用700nm厚的光胶,做80nm间隔线mm,曝光结果证实:偏移束和不偏移束形成的图像很少有差异,进一步证明了这种原理的可行性。Nikon的Kazuya Okamoto指出:现在光胶和掩模已不是主体问题,当前在致力于大的发射源、均匀的掩模照明和具有大子场、大偏移、对掩模热负荷小的低畸变透镜,这种电子束步进机将用于100nm曝光,并可延伸到50nm,产量>

  20片/时(300mm片)。2003年,尼康向Selete交付了第一台基于PREVAIL技术的NCR-EB1A电子束步进器。它在单次拍摄中曝光了包含 1000 万像素的图案片段,并代表了大规模并行像素投影的首次成功演示。然而,随着浸没式光刻的快速实施,电子投影光刻(EPL)的机会之窗已经关闭,行业的兴趣已经转移到无掩模光刻(ML2)上。

  Zyvex Labs 有两个目标:1) 开发 APM;2) 开发微细加工和 3D 微组装技术。该公司的 MEMS 技术是在 Zyvex 为期 5 年、耗资 2500 万美元的 NIST ATP 项目期间开发的,目前正用来制造微型科学仪器,例如微型扫描电子显微镜和微型原子力显微镜,以及下一代纳米探测系统。2022年,Zyvex Labs宣称推出世界上最高分辨率的光刻系统 — ZyvexLitho1,该工具使用量子物理技术来实现原子精度图案化和亚纳米(768 皮米——Si (100) 2 x 1 二聚体行的宽度)分辨率。ZyvexLitho1 是一款基于扫描隧道显微镜 (STM:Scanning Tunneling Microscopy) 仪器,Zyvex Labs 自 2007 年以来一直在改进该仪器。ZyvexLitho1 包含许多商业扫描隧道显微镜所不具备的自动化特性和功能。ZyvexLitho1所采用的电子束光刻(EBL)技术核心是使用氢去钝化光刻(HDL)从Si(100) 2×1二聚体列(dimer row)重建表面去除氢(H)原子,氢去钝化光刻是电子束光刻(EBL)的一种形式。

  该机器的用途包括为基于量子点的量子比特制作极其精确的结构,以实现最高的量子比特质量。该产品可用于其他非量子相关应用,例如构建用于生物医学和其他化学分离技术的纳米孔膜。不过该产品的缺点是吞吐量非常低,它可能更适合制造小批量的量子处理器芯片。

  KLA-Tencor 拥有一种他们称之为 REBL 的技术:反射电子束光刻。该技术最初由DARPA资助。但目前在多电子束直写领域,多个消息来源表明,KLA-Tencor正在退出该市场,专注于其核心检测和计量工具业务。

  REBL系统示意图。电子源通过磁性棱镜照亮数字模式发生器(DPG)。来自DPG的反射电子定义了要曝光的图案,它们再次穿过磁性棱镜,从而将它们与照明束分离。然后将DPG图像缩小并投影到晶片上。

  上世纪90年代,除了IBM与尼康合作分别提出了PREVAIL的基于掩模的多束平行电子束投影曝光方案外,贝尔实验室也提出了SCALPEL(具有角度限制的投影式电子束光刻技术)方案。

  1999年,一群半导体器件和设备制造商宣布了一项联合协议,旨在加速将SCALPEL技术开发为生产光刻解决方案,以构建下一代集成电路。该计划的参与者包括ATMT和ASML的合资企业eLithTM LLC;朗讯科技公司;摩托罗拉半导体产品部门;三星电子有限公司和德州仪器(TI)。

  但在两年后,ATMT 和 ASML决定解散eLith LLC(成立14个月,旨在SCALPEL技术商业化),急剧转向极紫外技术(EUV)作为下一代光刻解决方案。国内企业及进展

  由中国科学院电工研究所承担的中科院知识创新工程重点项目——“纳米级电子束曝光系统实用化”在2005年通过了专家验收。

  该项目瞄准国内急需的电子束曝光设备,在攻克实用化样机关键技术基础上,研制了3台以扫描电镜(SEM)为基础,配备以激光定位精密工件台、DSP为核心的多功能图形发生器、控制用微型计算机、真空系统、控制软件和自动输片机构的新型纳米级电子束曝光系统,供科研单位用于纳米科技与半导体前沿研究,满足我国科研机构和国防建设需要。

  在该项目在研究过程中,科研人员们还开发了3项具有自主知识产权的创新性关键技术。其中,开发的核心部件——以数字信号处理器(DSP)为核心,以Windows2000为操作系统的通用图形发生器,在自主研制数字信号处理和软件系统方面取得了重大突破。该图形发生器可接收GDSII、CIF、DXF图形数据,并可与SEM、扫描探针显微镜(SPM)、聚焦离子束(FIB)连接,实施曝光或加工,实现高精度图形拼接和套刻。在完成过程中,已将纳米通用图形发生器推向了市场,为我国纳米科学技术研究做出了重要贡献。此外,电工所微纳加工技术与智能电气设备研究部自行研制了国内首台圆形电子束曝光系统、微米级可变矩形电子束曝光系统和缩小投影电子束曝光系统;研制的纳米级实用化电子束曝光系统和图形发生器在国家纳米科学技术中心、清华大学、台湾大学等三十余家科研机构得到应用。中国科学院电工研究所还和北京中科科仪股份有限公司合作研制了小型电子束曝光机DY-2000A。

  中国电子科技集团公司第四十八研究所(简称48所),成立于1964年,隶属于中国电子科技集团有限公司。

  48所的电子束曝光技术,是在1969年北京696工程会战的基础上,内迁长沙而发展起来的。建所以来,一直发展以三束(电子束、离子束、分子束)为主的微细加工技术,研制束加工技术、薄膜技术、热工技术等设备和研究有关应用工艺。电子束曝光机,在1975年研制出第一代实用产品,1982年研制出第二代实用产品。这两代产品都于1975年、1982年先后交给电子部13所使用,为该所制作微波器件、GaAs场效应器件及其它微细线条图形起到了及其重要的作用。而四十八所2005年通过验收的DB-8型号电子束曝光设备,对应0.13μm的半导体制程,处于国内先进水平。

  深圳量子科学与工程研究院(以下简称深圳量子院)前身是于 2016 年成立的南方科技大学量子科学与工程研究所,该研究所 2017 年升格为南方科技大学量子科学与工程研究院。研究院于 2018 年 1 月 19 日挂牌成立,由深圳市科创委专项支持、依托南方科技大学建设,院长为中国科学院院士俞大鹏教授。

  据了解,围绕核心关键研发技术,研究院先后承担了多项关键科研装备的研制攻关任务,五年来总计申请国内外专利超 120 项,其中 34 项已取得授权。其中,电子束曝光机研发团队联合北京大学和中科科仪等单位,先后研制成功三套 30kV 电子束曝光机试验样机。

  近日,松山湖材料实验室精密仪器联合工程中心产业化项目研发再获新突破:项目团队成功研制出电子束光刻系统,在全自主电子束光刻机整机的开发与产业化过程中取得阶段性进展,初步实现了电子束光刻机整机的自主可控,标志着国产电子束光刻机研发与产业化迈出关键一步。

  为了研制具有自主知识产权的电子束光刻机整机,精密仪器研发团队在松山湖材料实验室完成一期项目研发并成立产业化公司后,带资回到实验室进入“滚动发展”模式:产业化公司东莞泽攸精密仪器有限公司与实验室共同投资2400万元进行第二阶段研发,目标是打造集科研与产业化为一体的电子束装备技术创新基地。通过深入开展电子束与新材料交叉领域的前沿研发技术,实现关键装备和共性技术的自主可控,切实提升我国在电子束加工与制备领域的整体创造新兴事物的能力和产业竞争力。

  目前,东莞泽攸精密仪器有限公司已基于自主研制的扫描电镜主机,完成电子束光刻机工程样机研制,并开展功能验证工作。通过对测试样片的曝光生产,可以绘制出高分辨率的复杂图形。下一步,团队及产业化公司将持续完善电子束光刻机的性能指标,使其达到批量应用及产业化的要求。电子束曝光机是半导体制造的基础设备,虽然市场较小,但各大半导体设备巨头纷纷通过收购等方式布局,其重要性不言而喻。随着最新的电子束曝光机的禁运,国产突破刻不容缓。据了解,目前国内还有多家科研院所和电镜企业正在布局研发电子束曝光机。

  整体来看,国际有突出贡献的公司在技术和市场上都处于主导地位,甚至进入产业化阶段,行业也已经经历了多轮的整合收购,技术成熟且先进,国产替代难度大。而国内电子束曝光机技术路线比较单一,研发断代严重,与产业应用差距较大,仍主要面向科研市场。

  当前,最新一轮的国产电子束曝光机研发潮已经来临,研发态势也由过去的科研院所大会战模式转向企业联合科研院所的市场之间的竞争模式。但参与的企业普遍成立较晚,出货的产品不多,大部分仍处于早期研发阶段,但国产破局曙光初现。

推荐产品
环球直播TV

微信扫一扫